亚洲免费av电影一区二区三区,日韩爱爱视频,51精品视频一区二区三区,91视频爱爱,日韩欧美在线播放视频,中文字幕少妇AV,亚洲电影中文字幕,久久久久亚洲av成人网址,久久综合视频网站,国产在线不卡免费播放

        ?

        硬件木馬防護技術研究

        2017-11-10 07:52:07王侃陳浩管旭光顧勇
        網(wǎng)絡與信息安全學報 2017年9期
        關鍵詞:分析檢測方法

        王侃,陳浩,管旭光,顧勇

        ?

        硬件木馬防護技術研究

        王侃,陳浩,管旭光,顧勇

        (密碼科學技術國家重點實驗室,北京 100878)

        對硬件木馬防護技術進行了深入研究,提出一種新的硬件木馬防護分類方法,系統(tǒng)全面地介紹了近年來主流的預防與檢測技術,并通過分析比對,給出各方法的特點和存在的問題。最后,提出新的防護技術設計思路,對未來研究方向和趨勢進行了展望,并給出了基于木馬庫的硬件木馬檢測技術設計思路。

        硬件木馬;預防技術;檢測技術;側信道分析

        1 引言

        隨著集成電路技術的不斷發(fā)展,芯片的設計和制造逐步全球化。為了縮短芯片設計周期,降低設計風險,越來越多的芯片公司使用第三方EDA工具或采用第三方IP的方式實現(xiàn)相應模塊,芯片的制造、封裝工作也多由第三方公司或代工廠完成[1]。在這些過程中,芯片有可能被植入惡意電路,即硬件木馬[2]。研究發(fā)現(xiàn),硬件木馬不僅會影響芯片的性能,還會泄露芯片關鍵信息、改變電路功能、造成芯片失效等[3]。

        近年來,硬件問題導致的安全事件層出不窮[4]。2007年,以色列轟炸敘利亞東北部的潛在核設施時,由于通用處理器被植入后門,敘利亞預警雷達形同虛設,沒有發(fā)出任何警報。2012年,伊朗布什爾核電站在物理隔絕的環(huán)境下依然遭受震網(wǎng)病毒的攻擊。作為信息系統(tǒng)的重要支持,芯片的安全至關重要。如果硬件木馬被植入醫(yī)療、金融、軍事等領域的核心安全系統(tǒng)或實時性系統(tǒng)的芯片中,都將導致致命的后果,嚴重威脅國家安全。

        硬件木馬的潛在安全威脅,引起了國際廣泛的關注。許多科研機構提出了硬件木馬防護方法,為芯片的安全保護提供了保障。根據(jù)芯片設計生產(chǎn)的一般流程,硬件木馬防護主要包括預防技術和檢測技術。預防技術是在芯片設計時,為防護硬件木馬進行特別設計,增強芯片抗修改的能力和自檢測能力,主要技術包括自檢邏輯、激活單元、可測性設計、混淆技術以及專用架構技術等。檢測技術是在芯片生產(chǎn)制造后,通過檢測方法判斷硬件木馬的存在,常用的檢測技術包括反向工程、邏輯測試、側信道分析、運行時監(jiān)控等。

        在以往的工作中,有很多針對硬件木馬防護技術的綜述研究,然而這些研究大都面向檢測技術,側重于側信道分析、邏輯檢測、反向工程等方面,而缺乏針對預防技術和其他檢測技術的總結。為此,本文對硬件木馬防護技術深入地進行了研究,提出了一種新的硬件木馬防護分類方法,并對主流的防護方法進行更系統(tǒng)全面的分析,為下一步硬件木馬防護的研究提供重要依據(jù)。

        2 硬件木馬

        2.1 硬件木馬定義

        硬件木馬是指在設計、生產(chǎn)和制造過程中通過植入、篡改等方式加入原始電路的惡意電路,意在竊?。ɑ蛐孤叮⑵茐?、改變電路或電路信息。

        如圖1所示,典型的硬件木馬包含2個部分:觸發(fā)電路和負載電路[5]。觸發(fā)電路在特定輸入信號的條件下會被觸發(fā),從而激活實現(xiàn)了惡意功能的負載電路部分,實施惡意攻擊。

        圖1 硬件木馬結構示意

        2.2 硬件木馬特點和分類

        一般來說,硬件木馬具有以下幾個基本特點。

        1) 惡意性:硬件木馬一般都是有目的性地惡意攻擊,意在竊取重要數(shù)據(jù),混淆、破壞原始電路功能,泄露秘密信息等。

        2) 觸發(fā)性:在一般情況下,硬件木馬處于非激活狀態(tài);在滿足特殊條件或一定信號激勵下,才會觸發(fā)相應功能。

        3) 隱藏性:相對于一般電路,硬件木馬電路尺寸小、功耗小、激活概率低,且在非激活狀態(tài)不會影響原始電路運作,不易發(fā)現(xiàn),具有很高的隱藏性。

        4) 多樣性:根據(jù)實現(xiàn)方式、激活方式、目標性的不同,硬件木馬可以分為不同類別,幾乎存在于芯片設計生產(chǎn)的每一個階段,如圖2所示[6],種類繁多,排查難度大。

        圖2 硬件木馬可能的植入階段

        硬件木馬可以按照植入階段、植入層次、激活方式、功能影響、存在形式和存在位置等因素進行分類[7]。其中,按照植入階段不同,硬件木馬可以在設計、制造、測試等各個階段植入;按照植入層次不同,硬件木馬可以在系統(tǒng)級、寄存器級、門級、晶體管級甚至物理級植入;按照激活方式不同,硬件木馬可以分為常開型、內部觸發(fā)型和外部觸發(fā)型等;按照功能影響不同,硬件木馬可分為改變功能型、降低性能型、泄露信息型和拒絕服務型等;按照存在形式不同,可分為組合型、時序型、模擬型等;按照存在位置不同,硬件木馬可以位于處理器、存儲器、輸入輸出端口、電源模塊、時鐘網(wǎng)絡、ASIC、DSP以及FPGA中。

        3 硬件木馬預防與檢測技術

        為避免硬件木馬帶來的安全隱患,近年來,國內外學者紛紛提出硬件木馬防護的技術和方法,根據(jù)實施方式不同,主要分為芯片生產(chǎn)前的預防技術[8~19]和芯片生產(chǎn)后的檢測技術[20~72],如圖3所示。

        圖3 硬件木馬預防技術分類

        3.1 預防技術

        硬件木馬預防技術是指在芯片設計階段,通過修改原有設計或加入額外的檢測電路等方法,增強芯片對電路修改或植入的檢測能力,提高被植入硬件木馬的激活概率,增加芯片對硬件木馬的防護能力。

        3.1.1 自檢邏輯設計

        一些研究在設計時加入了特定電路,形成自檢邏輯,以實現(xiàn)在硬件木馬植入時的自檢測。其中,Chakraborty等[8]利用攻擊者會選用電路中的低可達狀態(tài)節(jié)點和低可觀測輸出端點進行攻擊的特點,提出一種防硬件木馬設計方法。該方法在低可達狀態(tài)節(jié)點添加控制邏輯(由特定密鑰啟動),能夠觸發(fā)節(jié)點狀態(tài)并生成相關“簽名值”,并根據(jù)在特定輸入密鑰下輸出“簽名值”的變化判斷系統(tǒng)是否包含硬件木馬。

        Xiao等[9]利用攻擊者會采用空白區(qū)域植入木馬的特點,提出了一種防止木馬植入的內建自認證技術。該技術在布局階段利用芯片的空白區(qū)域填充具有實際功能的標準單元,并將標準單元連接形成芯片的自檢電路,輸出特定范圍的簽名值。一旦標準單元被刪除或破壞,就會產(chǎn)生錯誤的簽名值,通過對簽名值的判斷實現(xiàn)自認證的功能。

        Li等[10]提出了一種將傳感器作為自檢模塊來實現(xiàn)硬件木馬預防的方法。該方法在芯片設計時加入傳感器,預測特定操作序列下的時延特征,與基準數(shù)值進行比較。若比對結果存在較大差距,則可判定硬件木馬的存在。

        此外,Kitsos等[65]利用環(huán)形振蕩器來預防硬件木馬的植入。研究發(fā)現(xiàn),硬件電路的改變會通過環(huán)形振蕩器的頻率變化體現(xiàn)。Zhang等[66]建立了環(huán)形振蕩器網(wǎng)絡,并將網(wǎng)絡分布在整個芯片以監(jiān)控預防硬件木馬。Ferraiuolo等[67]還針對環(huán)形振蕩器的位置對檢測的影響進行了分析研究,通過優(yōu)化振蕩器的位置提高自檢的效率。

        3.1.2 激活單元設計

        另外一部分研究通過添加特定單元,最大化地激活硬件木馬,以輔助生產(chǎn)后的硬件木馬檢測,提高檢測成功率。

        Salmani等[11]提出了一種提高硬件木馬激活概率的方法。文章首先分析了激活硬件木馬的可能性,并通過幾何分布(GD,geometric distribution)方法預估硬件木馬激活概率以及所需要的時鐘周期。然后在激活概率較低的節(jié)點線路上添加虛擬觸發(fā)器,提高這些節(jié)點狀態(tài)的可達概率,如圖4所示,其中,圖4(a)中括號左側數(shù)據(jù)表示該節(jié)點狀態(tài)為真概率,右側數(shù)據(jù)表示狀態(tài)為假概率。實驗結果顯示,這種方法可以在對芯片面積不明顯增加的情況下,大大減少激活硬件木馬的時間,提高硬件木馬檢測的效率。

        Zhou等[12]在電路中添加了多路復用器,將激活概率最低的線路通過復用器屏蔽掉,保證低激活率的線路不被選擇,從而提高激活的概率。

        (a) 原始電路節(jié)點概率

        (b) 添加虛擬觸發(fā)器后節(jié)點概率

        圖4 虛擬觸發(fā)器示意

        3.1.3 可測性設計

        可測性設計是芯片功能測試的重要手段。有部分研究基于傳統(tǒng)可測性設計理念提出了針對硬件木馬的可測性設計方法,在設計時加入可測點以輔助邏輯檢測,提高硬件木馬檢測的效率。

        其中,Jin等[13]根據(jù)攻擊者一定會攻擊關鍵信號路徑的特點,提出了一種硬件木馬可測性設計方法(DFTT, design for Trojan test)。該方法通過代碼分析、敏感路徑搜索和檢測點插入這3個環(huán)節(jié),實現(xiàn)對關鍵信號路徑的保護,以防止泄露型硬件木馬的植入。此外,Banga等[14]提出了一種非掃描的可測性設計方法,利用觸發(fā)器的信號增加可達的狀態(tài)空間,從而提高木馬被觸發(fā)的概率,如圖5所示。

        圖5 可測性設計方法

        3.1.4 混淆技術

        混淆技術是防止反向分析攻擊和芯片修改的有效方法,通過對芯片進行迷惑性的設計,使攻擊者不易找到真實電路,增加木馬植入的難度。此外,混淆設計還可以使設計者在木馬植入后及時發(fā)現(xiàn)芯片的改變。

        Chakraborty等[15]使用文獻[8]的思想,提出一種基于混淆手段的SoC設計方法。該方法在芯片中創(chuàng)建了屏蔽實際功能模塊的“網(wǎng)關”,并使用特定的密鑰激活,通過這種方式對攻擊者進行迷惑,從而保護門級IP不被硬件木馬植入。研究發(fā)現(xiàn),混淆技術可以保證在不影響面積、功耗和延遲的情況下,有效提高木馬檢測的效率,增加硬件木馬植入的難度。隨后,該方法被應用于RTL級IP的檢測和保護[16]。Chakraborty在文獻[17]中,將混淆狀態(tài)機劃分為初始狀態(tài)機和隔離狀態(tài)機這2個部分,一旦有錯誤的輸入,就會跳入隔離狀態(tài)機無法跳出。實驗證明其在對抗硬件木馬植入方面效果顯著。

        3.1.5 架構技術

        此外,Das等[18]提出了一種預防信息泄露型木馬的總線架構,其通過在CPU和內存之間設置一個守護核,對CPU寫內存的操作流進行監(jiān)控,及時發(fā)現(xiàn)與正常的操作流不符的寫操作,防止惡意硬件對關鍵信息的泄露。

        Bloom等[19]提出了一種面向FPGA SoC的設計架構,通過局部運行可重構(PRR,partial runtime reconfiguration)技術和硬件抽象層(HAL,hardware abstraction layer),運用片上資源重組、加密執(zhí)行、功能等價變體等防御機制,有效防止惡意木馬的植入,保護芯片的關鍵敏感信息。

        3.2 檢測技術

        不同于軟件木馬,硬件木馬一旦植入就無法被刪除,因此包含硬件木馬的電路與原有電路一定會存在不同。硬件木馬檢測是指芯片在流片后通過反向分析、邏輯測試、側信道分析等技術對芯片進行檢測,對比芯片流片前后存在的不同,從而判斷硬件木馬是否存在。一個好的檢測方法能夠最大化提高測試的成功率,并能避免因誤差導致的檢測錯誤。

        3.2.1 反向分析法

        反向分析法是迄今為止最徹底、最精確的木馬檢測方法。它通過逆向工程方法將封裝(或管芯)的芯片電路打開,逐層掃描拍照電路,然后使用圖形分析軟件和電路提取軟件重建電路結構圖,將恢復出的設計與原始設計進行對比分析,如圖6所示[21],根據(jù)電路的不同判斷硬件木馬的存在[20]。該檢測方法能準確發(fā)現(xiàn)電路中的任何惡意修改,對結構較簡單的芯片檢測效果不錯,但相對比較耗時,而且費用較高。

        (a) 目標芯片的M6布局

        (b) 目標芯片的版圖

        圖6 反向分析示意圖

        為此,不少研究針對反向分析提出了優(yōu)化方法。其中,Bhasin等[21]針對傳統(tǒng)光學檢測的不足提出了改進措施。研究顯示,具有高利用率(一般大于80%)的硬件木馬都會影響到高金屬層。因此,該方法只比較最高幾層金屬層的鏡像(與GDSII),并通過計算GDSII和高分辨率的高金屬層鏡像之間的互相關性來檢測。Zhou等[22]提出一種光學方法以檢測和確定硬件木馬位置。該方法首先將填充單元進行修改,使其對近紅外的波長光能夠強反射,然后對芯片進行反面拍攝,利用填充單元生成的亮點作為芯片的水印,判別芯片是否被篡改。Bao等[23]提出一種反向工程實施方案,采用了成熟的機器學習方法,通過一類支持向量機(one-class support vector machine)來實現(xiàn)版圖比對和硬件木馬檢測。隨后Bao[24]基于該方法提出一種反向分析輔助方法,通過對每個單元敏感性的分析和對標準單元的劃分,降低反向分析時的難度。此外,文獻[25,26]也都針對反向分析方法的效率和成本進行了改善。

        3.2.2 邏輯測試法

        邏輯測試法通過產(chǎn)生測試向量,試圖觸發(fā)隱藏的木馬激活條件,使硬件木馬生效并顯現(xiàn)相應功能。由于芯片密度不斷增大,要找到覆蓋所有節(jié)點的測試向量幾乎不可能,因此邏輯測試的難點在于定義合適的測試向量激活硬件木馬[27]。對于典型的硬件木馬,其設計的一個指標就是隱藏性,因此通過標準測試向量激活木馬的方法難度很大。

        為此,不少研究人員提出了提高激活概率的邏輯測試方法。Zhang等[28]提出了一種完善的硬件木馬邏輯測試檢測流程,通過可疑信號檢測、覆蓋率分析、冗余電路刪除、ATPG生成以及等價定理分析等環(huán)節(jié),填補了傳統(tǒng)流程不能測試第三方IP的不足。其中,覆蓋率分析用于識別出可疑信號,冗余電路刪除和等價定理用于減少可疑信號數(shù)量,而ATPG生成則用于產(chǎn)生激活硬件木馬的激勵信號。此外,Jha等[29]提出了一種隨機分布方法,通過比較原始電路和現(xiàn)實電路的邏輯功能區(qū)別,即時發(fā)現(xiàn)硬件木馬。Salmani[30]和Banga[14]等提出了一種輔助邏輯測試的硬件木馬激活方法,并分析了它的平均激活時間。

        3.2.3 側信道分析方法

        基于側信道分析的硬件木馬檢測方法是目前使用最多、最有效的檢測方法之一。該方法通過比對電路中物理特性和旁路信息的不同,發(fā)現(xiàn)電路的變化,如圖7所示[21]。它基于的思想是任何硬件電路的改變都會反映在一些電路參數(shù)上,如功率、時序、電磁、熱等。在側信道分析方法中,需要有一個比對芯片,即沒有被植入的“純凈芯片”。

        圖7 側信道分析平臺示意圖

        根據(jù)旁路信息的不同,側信道分析可分為基于功耗[31~37]、靜態(tài)電流[38~41]、電磁信息[42~44]、路徑時延信息[45~48]等的分析方法。除此以外,還有針對多種旁路信息的綜合分析和側信道分析增強技術[53~57]的研究。

        1) 功耗分析

        Agarwal等[31]首次提出利用側信道信息檢測硬件木馬的方法,該方法獲取芯片的功耗特征,通過K-L擴展分析法生成芯片指紋,再將待測芯片與“純凈芯片”的功耗特征進行比對,以判斷芯片是否被篡改。Banga等[32]利用“持續(xù)向量技術”將功耗信息放大,使包含硬件木馬的芯片特征更加凸顯。Marchand等[33]在FPGA上實現(xiàn)并完成了硬件木馬測試。Liu等[34]在前人基礎上提出了新的功耗分析方法。

        在國內方面,大多數(shù)工作側重于功耗特征分析方法的研究。其中,劉長龍等[35]基于相關性分析(Pearson相關系數(shù))對芯片中的功耗信息進行分析比對,根據(jù)功耗特征的變化實現(xiàn)硬件木馬的檢測。張鵬等[36]提出了一種硬件木馬無損檢測方法,即通過基于絕對信息散度指標的投影尋蹤技術,實現(xiàn)功耗特征提取識別與分析。薛明富等[37]提出了一種針對微弱木馬的信號檢測技術,通過時域約束估計器和主成分投影技術、子空間投影和重構信號分析方法,實現(xiàn)對芯片功耗信息的分析檢測。

        2) 漏電流分析

        除分析動態(tài)功耗方法以外,還有一些針對漏電流的研究。其中,Wang等[38]提出一種通過電流信息檢測硬件木馬的方法。在該方法中,芯片漏電流和電流信息作為芯片的指紋信息被提取,并與“純凈芯片”相比對。不同于直接使用電流信息,該文使用電流整合技術來降低檢測誤差。Rad等[39]提出了一種基于漏電流和瞬變電流的信息分析方法,利用4種信號校準機制提高判斷的準確性。Wei等[40]通過追蹤漏電流信息來判斷芯片是否含有硬件木馬。Wilcox等[41]在最近的研究中通過多個芯片中拓撲分布的端點進行漏電流的測試以降低噪聲影響。

        3) 電磁信息

        Soll等[42]提出一種基于電磁信號的硬件木馬檢測方法。該方法基于FPGA實現(xiàn)了AES并植入硬件木馬,分析了其在不同位置、不同邏輯分布情況下對硬件木馬檢測的影響。Balasch等[43]在FPGA上實現(xiàn)了極小的硬件木馬,并通過電磁信號進行分析檢測,實驗驗證了檢測方法的有效性,同時對小尺寸硬件木馬的檢測難度給予了評定。李雄偉等[44]利用K-L變換對芯片中的電磁信號進行特征提取,通過信號分析等方法實現(xiàn)硬件木馬的檢測。

        4) 路徑延遲信息

        此外,路徑延遲也會因為硬件木馬的植入發(fā)生變化?;谶@個特性,Jin[45]首次提出了利用芯片延遲信息生成芯片指紋實現(xiàn)硬件木馬檢測的方法。隨后,基于該項工作,Cha[46]和Kumar[47]等也先后提出了針對路徑延遲的檢測方法。Yoshimizu等[48]利用硬件木馬會破壞芯片的一些路徑對稱性的特點,提出了一種基于對稱路徑的檢測手段,通過對稱路徑搜索、路徑延遲檢測等步驟,檢測硬件木馬是否植入。

        5) 綜合分析

        單一的測試可能會受到生產(chǎn)過程產(chǎn)生的噪聲影響而使測試不準確,很多研究采用多種信息綜合分析的思路。其中,Narasimhan[49]分析了多個側信道參數(shù)之間的相關性,并首次提出利用多項旁路信息進行硬件木馬檢測的方法。Nowroz等[50]利用芯片的熱量和功耗分布進行側信道分析。Cao[51]和Ngo[52]等先后利用時延和功耗信息[51]、時延和電磁信息[52]進行了綜合分析檢測。

        6) 增強技術

        也有一些研究提出了針對側信道分析的增強技術,以提高分析的效率和準確度。Banga[53]、Xue[54]和Du[55]分別提出基于芯片劃分的檢測方法,對不同芯片的不同區(qū)域分別提取側信道信息進行統(tǒng)計分析,通過檢測點部署、最大化激勵測試向量生成以及信號校準等技術,改善檢測的敏感性,去除片間的生產(chǎn)制造產(chǎn)生的噪聲,從而提高側信道檢測時的效率和準確性。文獻[51]同樣采用了芯片劃分的方法,每個區(qū)域都使用一個獨立的電流傳感器進行信息采集。

        此外,Potkonjak等[56]提出了針對門級特性的側信道分析方法,利用門級的漏電流、動態(tài)功耗和時延等特征信息,建立木馬檢測的線性規(guī)劃模型,有效提高了側信道分析的精度。Karunakaran等[57]采用了類似的方法,分別針對與、或、非、與非、或非等門的漏功耗特性進行了研究,建立線性規(guī)劃模型,通過求解該模型和表示硬件木馬存在的變量,實現(xiàn)硬件木馬的檢測。

        表1 硬件木馬預防檢測技術分析對比匯總

        注:H表示高,M表示中,L表示低;Y表示是,N表示否,Y/N表示兩者皆有。

        3.2.4 運行時監(jiān)控方法

        盡管上述的預防檢測技術足以保證硬件木馬的有效防護,但由于方法的局限性,依然不能覆蓋所有的硬件木馬。運行時監(jiān)控方法就是在上述方法沒有成功的情況下,發(fā)揮最后的屏障作用,即在芯片運轉期間,實時監(jiān)測重要信息,及時發(fā)現(xiàn)非法、惡意的操作,并采取相應措施防止惡意功能的運行。

        McIntyre等[58]提出了基于功能等價變體(functionally equivalent variants)的檢測方法,通過不同變體在不同核中的運行結果,判斷多核系統(tǒng)中的硬件木馬植入。Abramovici等[59]利用可重構芯片中的可重構核,對芯片的操作進行實時監(jiān)控,排查非法操作。Bloom等[60]提出了一種軟硬件協(xié)同設計,在操作系統(tǒng)層面,協(xié)助硬件木馬的檢測。Forte[61]和Bao[62]等提出了一種根據(jù)芯片運行時的熱分布情況進行實時監(jiān)控和檢測的方法,其在芯片設計時加入熱傳感器,并在芯片運行時采集熱量信息,通過去噪處理和數(shù)據(jù)分析,實時檢測硬件木馬的存在。在文獻[63]中,一旦異常操作產(chǎn)生,芯片中的警戒模塊就會關閉芯片的相關功能,并觸發(fā)芯片安全機制,防止硬件木馬的進一步攻擊。此外,Cui等[64]針對第三方IP核提出了硬件木馬實時檢測方法。該方法通過8條設計規(guī)則以及時延、面積、操作序列、開銷等約束,分析判斷IP核的可信度,并提出了使硬件木馬失效的快速恢復策略。

        此外,還有部分研究通過在芯片頂層增加金屬屏蔽層,以主動實時監(jiān)測探針探測和FIB修改等物理攻擊[68]。其中,Zhang等[69]提出一種應用于高安全芯片的抗侵入式物理攻擊防篡改檢測技術,利用芯片頂層金屬對侵入式攻擊進行主動監(jiān)測,可有效地防止對電路存儲關鍵信息的提取。Briais等[70]利用旅行商算法和遺傳算法生成有源金屬屏蔽層,其生成的屏蔽層可以與檢測電路連接,有效防止芯片表面被探測攻擊。Shahrjerdi等[71]提出了一種金屬屏蔽層設計方法,通過添加傳感器等器件,以實時監(jiān)測物理攻擊,從而實現(xiàn)芯片的保護。Cioranesco等[72]還針對Mesh結構的金屬防護層提出了密碼保護方法。

        4 硬件木馬防護技術分析

        4.1 現(xiàn)有方法對比分析

        在實際的硬件木馬防護中,不會將所有的預防與檢測技術全部應用,而是根據(jù)各種方法的特點和應用領域,有選擇性地采用合適的方法實施防護。為此,本文將所有的預防與檢測技術按照復雜度、代價、有效性、覆蓋范圍、可實施性、可擴展性、是否增加面積、是否需要原型等特性進行了分析比對,為方法的選擇提供依據(jù),如表1所示。使用者可以根據(jù)資金狀況、設計周期、應用范圍等因素采用最合適的方法。

        從表1中可以看出,每種方法的特性都不盡相同。在代價方面,由于反向工程會破壞芯片,且需要搭建專門的平臺,因此硬件開銷較高;振蕩器網(wǎng)絡等預防方法需要額外的電路設計,也會帶來芯片面積、功耗等開銷;相比較而言,邏輯測試不需要額外的檢測平臺和電路設計,成本相對較低。在有效性方面,預防技術、反向工程和側信道分析技術都有不錯的效果,而邏輯測試由于難以找到合適的測試向量,因此效果不佳。在覆蓋范圍方面,基于邏輯測試的方法基本可以應對大多數(shù)硬件木馬,而其他方法在覆蓋范圍方面都存在局限;相比較單種測試方法,采用多種測試相結合的協(xié)同測試可以取長補短,增加測試的覆蓋范圍。在可實施性方面,預防技術、邏輯測試以及側信道分析方面,都有較低的硬件開銷,平臺易搭建,實施性較強。在可擴展性方面,邏輯測試和側信道分析都有易擴展的優(yōu)點。由于預防技術需添加額外電路,因此會改變原有設計,增加芯片面積。在原型方面,反向工程需要有原始電路的版圖作為比對原型,而側信道分析則需要“純凈”電路作為測量基準。

        4.2 現(xiàn)有方法存在的問題

        盡管硬件木馬預防檢測技術的研究已經(jīng)取得了許多成果,但其仍然是一個較新的研究領域,面臨許多挑戰(zhàn)??傮w來講主要分為以下幾個方面。

        1) 預防技術的不可預估性

        由于硬件木馬的多樣性特點,在任何一種新型木馬出現(xiàn)之前,都無法預測硬件木馬的存在形式,因此要在芯片設計時考慮所有未知形式的硬件木馬幾乎不可能,這也為硬件木馬預防技術提出很大的挑戰(zhàn)。

        2) 邏輯測試方法的局限性

        邏輯測試方法的根本思想在于通過測試向量激活并顯現(xiàn)硬件木馬的相應功能,從而發(fā)現(xiàn)硬件木馬的存在。然而,這種方式只能針對修改、破壞功能型的硬件木馬,對于竊取、泄露型硬件木馬沒有效果。此外,邏輯測試對于一些無法通過外部邏輯信號激活的木馬(如滿足一定周期或計數(shù)條件激活)也不適用。

        3) 反向分析技術的高開銷成本

        反向分析技術雖然有效,但其開銷成本大且測試周期長,難以大范圍實施。在實施反向分析后,原有的芯片會因遭到破壞而不能使用,因此反向分析方法只能采用抽樣檢測的形式,這就為部分或少量木馬植入的攻擊手段提供了機會,不能保證所有芯片的安全性。

        4) 側信道信息的提取困難大

        側信道方法對于功能較為簡單的芯片或密度較低的芯片應用效果比較顯著。但是,對于更為復雜的芯片尤其是在硬件木馬比較分散的情況下,由于硬件木馬的尺寸很小,對于整個芯片的功耗、電磁等旁路信號的影響微不足道,側信道分析檢測方法難以實施。此外,由于工藝噪聲的存在,對于不同芯片或者同一芯片的不同批次,采集到的旁路信號不盡相同,這也給側信道分析帶來了極大困擾。

        5) 運行時監(jiān)控的漏洞多

        運行時監(jiān)控技術通過硬件或軟硬件相結合的方式,在運行期間監(jiān)控總線或設備上的操作序列。這種方法不僅需要額外的設計開銷,而且會存在一些安全漏洞,僅能防止特定類型的硬件木馬,而無法應對繞過監(jiān)控點的惡意攻擊。

        5 硬件木馬防護技術展望

        硬件木馬和防護是黑與白的對抗,攻與防的較量,有新型的木馬出現(xiàn),也會相應地有新的檢測手段問世。因此,為保證密碼芯片的絕對安全,要不斷深入研究新型硬件木馬安全防護技術。根據(jù)現(xiàn)有硬件木馬研究的一般特點,未來硬件木馬防護技術的研究可以從以下幾個方面開展。

        5.1 新的預防方法研究

        傳統(tǒng)的硬件木馬預防技術大都采用自檢模塊、虛擬觸發(fā)器等方式,而利用時延特性進行敏感路徑的設計也是一種有效的方法。此外,硬件木馬在結構設計等方面的研究,以及對硬件木馬通用特性的提取和描述,對硬件木馬預防技術的研發(fā)有很大幫助,也是未來研究的一個可行方向[27]。

        5.2 新的檢測方法研究

        如上文提到的,傳統(tǒng)的檢測方法都存在一些不足。一方面,可以在現(xiàn)有方法基礎上提出改善措施,降低成本,提高檢測效率,如采用自頂向下和分層的策略實現(xiàn)反向分析方法,與可測性設計相結合的測試向量生成方法,或者在物理設計時加入更多的測試點、提供更多的側信道信息等。另一方面,可以通過研究新的方法,豐富檢測手段,提高檢測成功率,如利用不可克隆函數(shù)(PUF)[73]和時延信息對路徑敏感的特性,為芯片創(chuàng)建識別密鑰,如圖8所示[74],芯片內部電路任何的變化都可以通過密鑰的生效情況來體現(xiàn)[2]。

        圖8 PUF結構示意

        5.3 多方法協(xié)同檢測研究

        在傳統(tǒng)的木馬檢測方法中,多為針對某一種特殊木馬或某一種特殊手段的研究。然而,由于硬件木馬的特殊性、多樣性,單一的檢測手段已經(jīng)不能滿足需求,而多方法協(xié)同檢測的方式也成為研究的必然趨勢。例如,將可測性設計與側信道分析技術相結合,在芯片設計時提供用于信號提取的接口;將反向工程與側信道檢測相結合,將反向分析的結果作為側信道檢測的基礎,提高檢測的成功率;還可以將預防技術與邏輯測試、側信道分析技術相結合實施協(xié)同檢測。

        5.4 木馬病毒庫和統(tǒng)一檢測平臺的建立

        由于硬件木馬的多樣性特點,將會出現(xiàn)越來越多形式的硬件木馬,如針對FPGA內部結構和比特流的硬件木馬、針對第三方IP“配合型攻擊”硬件木馬(單個IP無攻擊性,多個IP聯(lián)合攻擊)以及性能減低型/拒絕服務型硬件木馬等,這些硬件木馬的存在形式在出現(xiàn)之前無法預知。在這種情況下,采用類似軟件木馬病毒庫的方式,建立硬件木馬庫,為每個新增木馬建立模型,并在反向工程中與原始版圖進行比對,是解決現(xiàn)有問題的一種可行方案。通過對已知木馬電路類型進行建模,錄入木馬庫中,再通過版圖分析工具對反向得到的版圖進行可疑電路搜尋,與木馬庫中的木馬電路模型進行匹配,從而實現(xiàn)硬件木馬的檢測。此外,建立專用的硬件木馬檢測EDA工具和統(tǒng)一的檢測平臺也成為必然需求,這不僅包括同時考慮預防技術和檢測技術的統(tǒng)一檢測[75],也包括對多種木馬類型的統(tǒng)一檢測。

        6 結束語

        硬件木馬的出現(xiàn)對信息安全構成了嚴重威脅。硬件木馬的預防與檢測技術是硬件木馬防護的有效手段。本文提出一種新的硬件木馬防護分類方法,并系統(tǒng)全面地介紹了近年來主流的預防與檢測技術,包括芯片設計時的預防技術,芯片生產(chǎn)后的側信道檢測、邏輯測試、反向分析、運行時監(jiān)控等。由于硬件木馬的特點,現(xiàn)有的防護手段仍不能滿足安全防護的需求,針對不同硬件木馬存在形式的預防檢測方法、統(tǒng)一檢測平臺以及多方法協(xié)同檢測技術等是未來可行的研究方向。

        [1] BHASIN S, REGAZZONI F. A survey on hardware Trojan detection techniques[C]//IEEE international Symposium on Circuits & Systems(ISCAS). 2015.

        [2] TEHRANIPOOR M, KOUSHANFAR F. A survey of hardware Trojan taxonomy and detection[J]. Journal of IEEE Design& Test of Computers, 2010, 27(1): 10-25.

        [3] 牛小鵬, 李清寶, 王煒, 等. 硬件木馬技術研究綜述[J]. 信息工程大學學報, 2012, (6): 740-748. NIU X P, LI Q B, WANG W, et al. Survey on the hardware Trojan technologies[J]. Journal of Information Engineering University, 2012, (6): 740-748.

        [4] LI H, LIU Q, ZHANG J. A survey of hardware Trojan threat and defense[J]. Integration the VLSI Journal, 2016, 55:426-437.

        [5] XUAN T N, BHASIN S, DANGER J L, et al. Linear complementary dual code improvement to strengthen encoded circuit against hardware Trojan horses[C]//IEEE International Symposium on Hardware Oriented Security and Trust (HOST). 2015: 82-87.

        [6] RAJENDRAN J, GAVAS E, JIMENEZ J. Towards a comprehensive and systematic classification of hardware Trojans[C]//IEEE international Symposium on Circuits and Systems (ISCAS). 2010: 1871-1874.

        [7] KARRI R, RAJENDRAN J, ROSENFELD K. Trustworthy hardware: identifying and classifying hardware Trojans[J]. Journal of Computer, 2010, 43(10): 39-46.

        [8] CHAKRABORTY R, PAUL S, BHUNIA S. On-demand transparency for improving hardware Trojan detectability[C]//The 2008 IEEE International Workshop on Hardware-Oriented Security and Trust (HOST). 2008: 48-50.

        [9] XIAO K, FORTE D, TEHRANIPOOR M. A novel built-in self-authentication technique to prevent inserting hardware Trojans[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2014, 33(12):1778-1791.

        [10] LI M, DAVOODI A, TEHRANIPOOR M. A sensor-assisted self-authentication framework for hardware Trojan detection[C]// Design, Automation & Test in Europe Conference & Exhibition. 2012:1331-1336.

        [11] SALMANI H, TEHRANIPOOR M, PLUSQUELLIC J. A novel technique for improving hardware trojan detection and reducing Trojan activation time[C]//The 2009 IEEE International Workshop on Hardware-Oriented Security and Trust (HOST’2009), IEEE Computer Society. 2009: 112-125.

        [12] ZHOU B, ZHANG W, THAMBIPILLAI S, TEO J. A low cost acceleration method for hardware Trojan detection based on fan-out cone analysis[C]//The International Conference on Hardware/Software Co-design and System Synthesis (CODES+ISSS). 2014: 1-10.

        [13] JIN Y, KUPP N. DFTT: design for Trojan test[C]//2010 IEEE International Conference on Electronics Circuits and Systems (ICECS’2010). 2010: 1166-1171.

        [14] BANGA M, MICHAEL S. ODTETTE: a non-scan design-for-test methodology for Trojan detection in ICs[C]//The 2011 IEEE International Workshop on Hardware-Oriented Security and Trust (HOST’2011), IEEE Computer Society. 2011: 18-23.

        [15] CHAKRABORTY R, BHUNIA S. HARPOON: an obfuscation-based SoC design methodology for hardware protection[J]. IEEE Transactions on CAD of Integrated Circuits and Systems, 2009, 28(10):1493-1502.

        [16] CHAKRABORTY R, BHUNIA S. Security through obscurity: an approach for protecting register transfer level hardware IP[C]//IEEE International Workshop on Hardware-Oriented Security and Trust(HOST). 2009.

        [17] CHAKRABORTY R, BHUNIA S. Security against hardware Trojan through a novel application of design obfuscation[C]//ICCAD. 2009: 113-116.

        [18] DAS A, MEMIK G, ZAMBRENO J, et al. Detecting preventing information leakage on the memory bus due to malicious hardware[C]//The Conference on Design, Automation and Test in Europe (DATE). 2010: 861-866.

        [19] BLOOM G, NARAHARI B, SIMHA R, et al. FPGA SoC architecture and runtime to prevent hardware Trojans from leaking secrets[C]//IEEE International Workshop on Hardware-Oriented Security and Trust(HOST). 2015: 48-51.

        [20] SANNO B. Detecting hardware Trojans [EB/OL]. http://www. crypto.rub.de/imperia/md/content/seminare/itsss09/benjamin_sanno. semembsec_termpaper_20090732_final.pdf.

        [21] BHASIN S, DANGER J, GUILLEY S, et al. Hardware Trojan horses in cryptographic IP cores[C]//Fault Diagnosis and Tolerance in Cryptography. 2013:15-29.

        [22] ZHOU B, ADATO R. Detecting hardware Trojans using backside optical imaging of embedded watermarks[C]//ACM/IEEE Design Automation Conference (DAC). 2015.

        [23] BAO C, FORTE D, SRIVASTAVA A. On application of one-class SVM to reverse engineering-based hardware Trojan detection[C]//The International Symposium on Quality Electronic Design (ISQED). 2014: 47-54.

        [24] BAO C, XIE Y, SRIVASTAVA A. A security-aware design scheme for better hardware Trojan detection sensitivity[C]//IEEE International Symposium on Hardware Oriented Security and Trust (HOST). 2015: 52-55.

        [25] TORRANCE R, JAMES D. The state-of-the-art in semiconductor reverse engineering[C]//The 48th ACM/IEEE Design Automation Conference (DAC). 2011: 333-338.

        [26] COURBON F, LOUBET-MOUNDI P, FOURNIER J J A, et al. A high efficiency hardware Trojan detection technique based on fast SEM imaging[C]//Design, Automation & Test in Europe Conference & Exhibition. 2015:788-793.

        [27] MOEIN S, SUBRAMNIAN J, GULLIVER T A, et al. Classification of hardware Trojan detection techniques[C]//The 10th International Conference on Computer Engineering & Systems. 2016: 357-362.

        [28] ZHANG X, TEHRANIPOOR M. Case study: detecting hardware Trojans in third-party digital IP cores[C]//IEEE International Symposium on Hardware-Oriented Security and Trust (HOST). 2011: 67-70.

        [29] JHA S, JHA S K. Randomization based probabilistic approach to detect Trojan circuits[C]//IEEE High Assurance Systems Engineering Symposium, IEEE Computer Society. 2008:117-124.

        [30] SALMANI H, TEHRANIPOOR M, PLUSQUELLIC J. A novel technique for improving hardware Trojan detection and reducing trojan activation time[J]. IEEE Transactions on Very Large Scale Integration Systems, 2012, 20(1):112-125.

        [31] AGRAWAL D, BAKTIR S, KARAKOYUNLU D, et al. Trojan detection using IC fingerprinting[C]//The 2007 IEEE Symposium on Security and Privacy( SP’07). 2007: 296-310.

        [32] BANGA M, HSIAO M S. A novel sustained vector technique for the detection of hardware Trojans[C]//The 2009 22nd International Conference on VLSI Design (VLSID’09). 2009: 327-332.

        [33] MARCHAND C, FRANCQ J. Low-level implementation and side-channel detection of stealthy hardware Trojans on field programmable gate arrays[J]. IET Computers Digital Technology, 2014, 8(6): 246-255.

        [34] LIU Y, HUANG K, MAKRIS Y. Hardware Trojan detection through golden chip-free statistical side-channel fingerprinting[C]//ACM/ IEEE Design Automation Conference. 2014: 1-6.

        [35] 劉長龍, 趙毅強, 史亞峰, 等. 基于相關性分析的硬件木馬檢測方法[J]. 計算機工程, 2013, 39(9): 183-195. LIU C L, ZHAO Y Q, SI Y F, et al. Hardware Trojan detection method based on correlation analysis[J]. Computer Engineering, 2013, 39(9): 183-195.

        [36] 張鵬, 王新成, 周慶. 基于投影尋蹤分析的芯片硬件木馬檢測[J]. 通信學報, 2013, 34(4): 126-137. ZOU C, ZHANG P, DENG G M, et al. Design of hardware Trojan based on power side-channel exposure[J]. Computer Engineering, 2011, 37(11):135-137.

        [37] 薛明富, 胡愛群, 劉威, 等. 基于子空間域特征提取的硬件木馬檢測方法[J]. 東南大學學報(自然科學版), 2014, 44(3): 457-461. XUE M, HU A, LIU W, et al. Detecting hardware Trojan through feature extraction in subspace domain[J]. Journal of Southeast University(Natural Science Edition), 2014, 44(3): 457-461.

        [38] WANG X, SALMANI H, TEHRANIPOOR M, et al. Hardware Trojan detection and isolation using current integration and localized current analysis[C]//IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems. 2008: 87-95.

        [39] RAD R, WANG X, TEHRANIPOOR M, PLUSQUELIC J. Power supply signal calibration techniques for improving detection resolution to hardware Trojans[C]//IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 2008: 632-639.

        [40] WEI S, POTKONJAK M. Scalable hardware Trojan diagnosis[J]. IEEE Transactions on Very Large Scale Integration Systems, 2012, 20(6): 1049-1057.

        [41] WILCOX I, SAQIB F, PLUSQUELLIC J. GDS-II Trojan detection using multiple supply pad VDD and GND IDDQs in ASIC functional units[C]//IEEE International Symposium on Hardware Oriented Security and Trust (HOST). 2015: 144-150.

        [42] SOLL O, KORAK T, MUEHLBERGHUBER M, et al. EM-based detection of hardware Trojans on FPGAs[C]//IEEE International Symposium on Hardware-Oriented Security and Trust. 2014: 84-87.

        [43] BALASCH J, GIERLICHS B, VERBAUWHEDE I. Electromagnetic circuit fingerprints for hardware Trojan detection[C]//IEEE International Symposium on Electromagnetic Compatibility. 2015: 246-251.

        [44] 李雄偉, 徐徐, 張陽, 等. 一種基于電磁旁路分析的硬件木馬檢測方法[J]. 計算機工程與應用, 2013, 49(12): 97-100. LI X, XU X, ZHANG Y, et al. Hardware Trojan detection method based on electromagnetic side-channel analysis[J]. Computer Engineering and Applications, 2013, 49(12): 97-100.

        [45] JIN Y, MAKRIS Y. Hardware Trojan detection using path delay fingerprint[C]//IEEE International Symposium on Hardware Oriented Security and Trust (HOST). 2008: 51-57.

        [46] CHA B, GUPTA S. Trojan detection via delay measurements: a new approach to select paths and vectors to maximize effectiveness and minimize cost[C]//Design, Automation and Test in Europe Conference and Exhibition (DATE). 2013: 1265-1270.

        [47] KUMAR P, SRINIVASAN R. Detection of hardware Trojan in SEA using path delay[C]//Electrical, Electronics and Computer Science. 2014:1-6.

        [48] YOSHIMIZU N. Hardware Trojan detection by symmetry breaking in path delays[C]//IEEE International Symposium on Hardware- Oriented Security and Trust (HOST). 2014: 107-111.

        [49] NARASIMHAN S, DU D, CHAKRABORTY R S, et al. Multiple-parameter side-channel analysis: a non-invasive hardware Trojan detection approach[C]//IEEE International Symposium on Hardware-Oriented Security and Trust. 2010:13-18.

        [50] NOWROZ A, HU K, KOUSHANFAR F, et al. Novel techniques for high-sensitivity hardware Trojan detection using thermal and power maps[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2014 (33): 1792-1805.

        [51] CAO Y, CHANG C H, CHEN S. A cluster-based distributed active current sensing circuit for hardware Trojan detection[J]. IEEE Transactions on Information Forensics & Security, 2014, 9(12): 2220-2231.

        [52] NGO X, EXURVILLE I, et al. Hardware Trojan detection by delay and electromagnetic measurements[C]//Design, Automation and Test in Europe Conference and Exhibition (DATE). 2015: 782-787.

        [53] BANGA M, HSIAO M S. A region based approach for the identification of hardware Trojans[C]//IEEE International Workshop on Hardware-Oriented Security and Trust, IEEE Computer Society. 2008: 40-47.

        [54] XUE M, HU A, LI G. Detecting hardware Trojan through heuristic partition and activity driven test pattern generation[C]//Communications Security Conference. 2014:1-6.

        [55] DU D, NARASIMHAN S, CHAKRABORTY R S, et al. Self-referencing: a scalable side-channel approach for hardware Trojan detection[C]//The International Conference on Cryptographic Hardware and Embedded Systems (CHES). 2010:173-187.

        [56] POTKONJAK M, NAHAPETIAN A, NELSON M, et al. Hardware Trojan horse detection using gate-level characterization[C]// ACM/IEEE Design Automation Conference(DAC). 2009: 688-693.

        [57] KARUNAKARAN D K, MOHANKUMAR N. Malicious combinational hardware Trojan detection by gate level characterization in 90nm technology[C]//The International Conference on Computing, Communication and Networking Technologies. 2014: 1-7.

        [58] MCINTYRE D, WOLFF F, PAPACHRISTOU C, et al. Dynamic evaluation of hardware trust[C]//IEEE International Workshop on Hardware-Oriented Security and Trust. 2009: 108-111.

        [59] ABRAMOVICI M, BRADLEY P. Integrated circuit security: new threats and solutions[J]. China Gems & Jades, 2009:1-3.

        [60] BLOOM G, NARAHARI B, SIMHA R. OS support for detecting Trojan circuit attacks[C]//IEEE International Symposium on Hardware Oriented Security and Trust (HOST). 2009: 100-103.

        [61] FORTE D, BAO C, SRIVASTAVA A. Temperature tracking: an innovative run-time approach for hardware Trojan detection[C]// The International Conference on Computer-Aided Design. 2013: 532-539.

        [62] BAO C, FORTE D, SRIVASTAVA A. Temperature tracking: toward robust run-time detection of hardware Trojans[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2015, 34(10):1577-1585.

        [63] XUAN T N, DANGER J L, GUILLEY S, et al. Hardware property checker for run-time hardware Trojan detection[C]//European Conference on Circuit Theory and Design. 2015:1-4.

        [64] CUI X, MA K, SHI L, et al. High-level synthesis for run-time hardware Trojan detection and recovery[C]//ACM/IEEE Design Automation Conference (DAC). 2014:1-6.

        [65] KITSOS P, VOYIATZIS A G. FPGA Trojan detection using length-optimized ring oscillators[C]//Digital System Design. 2014:675-678.

        [66] ZHANG X, TEHRANIPOOR M. RON: an on-chip ring oscillator network for hardware Trojan detection[C]//Design, Automation and Test in Europe Conference and Exhibition. 2011: 1-6.

        [67] FERRAIUOLO A, ZHANG X, TEHRANIPOOR M. Experimental analysis of a ring oscillator network for hardware Trojan detection in a 90nm ASIC[C]//IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 2012: 37-42.

        [68] NGO X, DANGER J, GUILLEY S, et al. Cryptographically secure shield for security IP protection[J]. IEEE Transaction on Computers, 2017, 66 (2): 354-360.

        [69] 張赟, 趙毅強, 劉軍偉, 等. 一種抗物理攻擊防篡改檢測技術[J]. 微電子學與計算機, 2016, 33(4): 121-124.

        ZHANG Y, ZHAO Y Q, LIU J W, et al. A temper-resistant detecting technique against physical attack[J]. Microelectronics & Computer, 2016, 33(4): 121-124.

        [70] BRIAIS S, CIORANESCO J, DANGER J, et al. Random active shield[C]//Fault Diagnosis and Tolerance in Cryptography, 2012: 103-113.

        [71] SHAHRJERDI D, RAJENDRAN J, GARG S, et al. Shielding and securing integrated circuits with sensors[C]//ICCAD. 2014: 170-174.

        [72] CIORANESCO J, DANGER J, GRABA T, et al. Cryptographically secure shields[C]//HOST. 2014: 25-31.

        [73] ZHANG J, QU G, LV Y, ZHOU Q. A survey on silicon PUFs and recent advances in ring oscillator PUFs[J]. Journal of Computer Science and Technology, 2014, 29 (4): 664-678.

        [74] GASSEND B. Identification and authentication of integrated circuits: research articles[J]. Concurrency and Computation: Practice & Experience, 2004, 16(11): 1077-1098.

        [75] WU T, GANESAN K, HU Y, et al. TPAD: Hardware Trojan prevention and detection for trusted integrated circuits[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2016, 35(4):521-534.

        Research on hardware Trojan defense

        WANG Kan, CHEN Hao, GUAN Xu-guang, GU Yong

        (State Key Laboratory of Cryptology, Beijing 100878, China)

        The definition and features of hardware Trojan were described firstly. After that, recent researches of defense and detection techniques were categorized with complete comparison and analysis. Then, current researches limitations were discussed based on the concepts and the trends perspectives of hardware Trojan defense and detection were proposed.

        hardware Trojan, defense technology, detection technology, side-channel analysis

        TP402

        A

        10.11959/j.issn.2096-109x.2017.00197

        2017-06-09;

        2017-08-26。

        王侃,wangkan_tsinghua@163.com

        王侃(1987-),男,山東淄博人,博士,密碼科學技術國家重點實驗室工程師,主要研究方向為芯片物理設計理論與算法、芯片安全性設計、嵌入式系統(tǒng)設計與開發(fā)。

        陳浩(1978-),男,河南信陽人,密碼科學技術國家重點實驗室高級工程師,主要研究方向為集成電路物理設計、芯片安全性設計。

        管旭光(1983-),男,黑龍江雞西人,博士,密碼科學技術國家重點實驗室工程師,主要研究方向為芯片設計與實現(xiàn)、芯片安全防護技術、嵌入式系統(tǒng)設計。

        顧勇(1986-),男,江蘇鹽城人,密碼科學技術國家重點實驗室工程師,主要研究方向為數(shù)?;旌闲盘栯娐吩O計、集成電路物理設計、芯片安全防護技術。

        猜你喜歡
        分析檢測方法
        “不等式”檢測題
        “一元一次不等式”檢測題
        “一元一次不等式組”檢測題
        隱蔽失效適航要求符合性驗證分析
        電力系統(tǒng)不平衡分析
        電子制作(2018年18期)2018-11-14 01:48:24
        電力系統(tǒng)及其自動化發(fā)展趨勢分析
        可能是方法不對
        小波變換在PCB缺陷檢測中的應用
        用對方法才能瘦
        Coco薇(2016年2期)2016-03-22 02:42:52
        四大方法 教你不再“坐以待病”!
        Coco薇(2015年1期)2015-08-13 02:47:34
        麻豆果冻传媒在线观看| 成熟的女人毛茸茸色视频| 日产一区二区三区免费看| 天堂网在线最新版www| 日本夜爽爽一区二区三区| 最新国产美女一区二区三区 | 国产精品久久久黄色片| 色欲av伊人久久大香线蕉影院| 孩交精品xxxx视频视频| 国产精品美女黄色av| 最新日本久久中文字幕| 99re6在线视频精品免费| 老师脱了内裤让我进去| 午夜视频网址| 亚洲国产综合精品一区| 国产极品视觉盛宴| 黑人巨大白妞出浆| 精品久久久久久电影院| 全国一区二区三区女厕偷拍 | 蜜臀av 国内精品久久久| 精品亚洲国产探花在线播放| 92自拍视频爽啪在线观看| 人人人妻人人人妻人人人| 国产裸拍裸体视频在线观看| 亚洲午夜无码久久yy6080| 在线观看国产精品一区二区不卡| 曰批免费视频播放免费| 国外亚洲成av人片在线观看| 亚洲男人天堂av在线| 精品亚洲一区二区三洲| 午夜免费视频| 丰满少妇人妻无码专区| 精品蜜桃av一区二区三区| 国产亚洲自拍日本亚洲| 国产激情内射在线影院| 亚洲国产成人精品激情资源9| 日本黄网色三级三级三级| 欧美丰满熟妇bbb久久久| 亚洲网站地址一地址二| 国产女人高潮的av毛片| 午夜dv内射一区二区|