亚洲免费av电影一区二区三区,日韩爱爱视频,51精品视频一区二区三区,91视频爱爱,日韩欧美在线播放视频,中文字幕少妇AV,亚洲电影中文字幕,久久久久亚洲av成人网址,久久综合视频网站,国产在线不卡免费播放

        ?

        EDA技術在數字電路設計中的應用

        2017-04-24 08:30:19武漢工程職業(yè)技術學院湖北武漢430080
        關鍵詞:信號設計

        羅 敏(武漢工程職業(yè)技術學院 湖北 武漢:430080)

        EDA技術在數字電路設計中的應用

        羅 敏
        (武漢工程職業(yè)技術學院 湖北 武漢:430080)

        在數字電路的設計中,競爭-冒險現象都是影響電路穩(wěn)定性和準確性的重要因素。利用EDA技術的波形仿真,通過理論分析,探討消除競爭-冒險現象的方法,使學生深入理解數字電路中的競爭-冒險現象,提高教學效果。

        數字電路;EDA技術;QuartusⅡ軟件;競爭-冒險現象

        0 引言

        《數字電子技術》課程是高校應用電子、電子信息專業(yè)的專業(yè)基礎課程,其課程的實踐性強。當學生在進行復雜的數字電路設計時,經常會出現設計的理論結果和實際電路結果不符合的現象,而引起這種現象的原因往往是數字電路的競爭-冒險現象。在數字電路中,無論是組合邏輯電路還是時序邏輯電路都可能出現競爭-冒險,這種現象將直接影響電路工作的穩(wěn)定性和準確性,甚至會引起整個數字電子系統(tǒng)的誤操作和邏輯紊亂。由于教材一般不會將這個教學內容為重點,學生往往對此認識不夠,一旦出現這種情況,學生需要反復的進行試驗、修改方案,增加了設計難度。本文通過EDA技術的工具軟件QuartusⅡ,對數字電路的輸出仿真波形進行分析,來闡述競爭-冒險現象的形成原因并探討其解決方案。

        1 EDA技術簡介

        EDA技術即電子設計自動化(Electronic Design Automation),在20世紀90年代初從計算機輔助設計(CAD)、計算機輔助制造(CAM)、計算機輔助測試(CAT)和計算機輔助工程(CAE)的概念發(fā)展而來的。EDA技術是在PLD(可編程邏輯器件)的電子設計載體下,通過HDL語言(硬件描述語言)和相關的工具軟件(EWB、QuartusⅡ、Matlab等),依托功能強大的計算機系統(tǒng)對電子系統(tǒng)進行的一種邏輯描述及表達,并由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,對特定PLD芯片進行適配編譯、邏輯映射和編程下載等工作,以實現電子系統(tǒng)自動化設計。

        EDA技術的典型特征是電子設計流程不是自下而上設計,而是自上而下設計。在設計上,EDA技術從電子系統(tǒng)設計的整體思路出發(fā),設計前規(guī)劃好系統(tǒng)各個部分的結構及相互聯系,隨后通過EDA技術對系統(tǒng)內的任意硬件功能實現描述,并在可編程的邏輯器件上進行調試,最終對電子系統(tǒng)設計結構得以實現。因此,EDA技術能有效的解決傳統(tǒng)電子設計技術的弊端,減少出現故障的幾率,使設計效率大幅提高。

        2 數字電路中的競爭-冒險現象

        2.1 數字電路中的競爭-冒險現象形成的原因

        在數字邏輯電路的理論設計時,信號高、低電平的變化都是理想化的。但在實際電路設計中,信號通過數字電路內部的連線和邏輯單元時都有一定的延時,延時的大小與連線的長短和邏輯單元的數目有關,同時與邏輯器件的工作溫度、制造工藝、工作電壓等條件有關,且信號的高、低電平轉換也需要一定的過渡時間。這些因素導致信號的狀態(tài)改變的時刻不一致稱為競爭。由于競爭而引起電路輸出信號中出現了非預期信號而產生瞬間錯誤操作的現象稱為冒險。輸出端出現的不正確的尖峰脈沖稱為毛刺。因此,產生競爭-冒險現象的主要原因就是數字電路內部的延時,導致到達會合點的時間有先有后,從而產生瞬間的錯誤輸出。

        2.2 EDA仿真

        本文以數值比較電路為例來說明競爭-冒險現象,其中a和b進行數值比較,如果a>b,則hi 輸出為高電平,a

        library ieee;

        use ieee.std_logic_1164.all;

        entity comp4 is

        port(a,b:in std_logic_vector(3 downto 0);

        eq,hi,lo:out std_logic);

        end comp4;

        architecture comp of comp4 is

        begin

        process(a,b)

        begin

        if (a>b) then eq<='0'; hi<='1';lo<='0';

        elsif (a

        eq<='0';hi<='0';lo<='1';

        elsif (a=b) then eq<='1';hi<='0';lo<='0';

        else eq<='0';hi<='0';lo<='0';

        end if;

        end process;

        end comp;

        通過仿真之后得到的仿真波形圖如圖1所示。從光標處可以看出,當a和b數值發(fā)生變化時,輸出并沒有立即發(fā)生變化,即毛刺的存在。

        圖1 數值比較器仿真波形圖

        2.3 競爭-冒險的解決方案

        2.3.1 采用修改邏輯設計

        當電路的輸出出現A+A相“或”和A·A相“與”時會產生毛刺,這種毛刺一般通過在輸出端加D觸發(fā)器加以消除。但使用D觸發(fā)器消除競爭-冒險現象時也會影響到電路的時序狀態(tài),所以在設計時要仔細地分析毛刺產生的原因、性質,采用修改電路的邏輯設計來徹底消除。這種方法雖然從根本上消除了毛刺產生的根源,但由于此法必須全面分析和掌握設計電路的工作狀態(tài)及其轉變過程,因此帶來了設計的復雜性。

        2.3.2 采用時鐘同步設計

        設計數字電路時,采用時鐘同步電路可以大大減少毛刺。由于大多數毛刺一般為幾個納秒,所以只要毛刺不出現在時鐘跳變處,毛刺信號就不會對系統(tǒng)造成危害。因此,只要在整個系統(tǒng)中使用同一個時鐘,就可以使系統(tǒng)同步達到消除毛刺影響的目的。這種方法操作簡單,也常有較好的效果,但要求電路必須工作在統(tǒng)一的時鐘脈沖信號下,電路在設計上有一定的局限性。

        2.3.3 采用延時同步設計

        因為時鐘信號在可編程邏輯器件中傳遞是有延時的,且無法預知時鐘跳變沿的精確位置,所以可以考慮采用信號延時同步設計。采用信號延時同步設計必須有2個或2個以上的子模塊電路的設計,其原理是在2級信號傳遞的過程中加1個延時電路,從而保證在下一個模塊中讀取到的數據是穩(wěn)定后的數據,即不包含毛刺信號。這里所指的信號延時可以是數據信號的延時,也可以是時鐘信號的延時。這種方法輸出的結果較為準確,但需要在設計時反復地實驗,是最費時的方法。

        3 結語

        在數字電路的設計中,競爭-冒險現象都是影響電路穩(wěn)定性和準確性的重要因素。因此,在數字電路的教學中引入EDA技術可以有效的協助教師對這種現象的講解,也有利于學生直觀深入的理解電路設計過程中理論和實踐結果不同的問題,并通過工具軟件QuartusⅡ的仿真,采用合理的方法來解決數字電路的競爭-冒險現象。

        [1] 閻石.數字電子技術基礎[M].北京:高等教育出版社,2006.

        [2] 潘松,黃繼業(yè).EDA技術實用教程[M].北京:科學出版社,2006.

        [3] 韓芳,張亞.EDA技術在競爭-冒險現象教學中的應用[J].福建電腦,2008,(12):198-199.

        [4] 陳貞.QuartusⅡ軟件中兩種仿真結果的分析研究[J].電子技術與軟件工程,2016,(14):98-99.

        (責任編輯:游 濤)

        Application of EDA to Digital Circuit Design

        Luo Min

        (Wuhan engineering Institute,Wuhan 430080,Hub)

        In designing digital circuit,the race and hazard phenomenon affects its stability and accuracy.The application of Waveform simulation enables students to further understand race and hazard phenomenonthrough theory teaching and discussion.Thus,better teaching effect is achieved.

        digital circuit;EDA technology;QuartusⅡsoftware;race and hazard

        2017-02-16

        羅 敏(1983~),女,碩士,講師.E-mail:45296745@qq.com

        TN79-4

        A

        1671-3524(2017)01-0027-02

        猜你喜歡
        信號設計
        信號
        鴨綠江(2021年35期)2021-04-19 12:24:18
        完形填空二則
        何為設計的守護之道?
        現代裝飾(2020年7期)2020-07-27 01:27:42
        《豐收的喜悅展示設計》
        流行色(2020年1期)2020-04-28 11:16:38
        孩子停止長個的信號
        瞞天過海——仿生設計萌到家
        藝術啟蒙(2018年7期)2018-08-23 09:14:18
        設計秀
        海峽姐妹(2017年7期)2017-07-31 19:08:17
        有種設計叫而專
        Coco薇(2017年5期)2017-06-05 08:53:16
        基于LabVIEW的力加載信號采集與PID控制
        一種基于極大似然估計的信號盲抽取算法
        xxxx国产视频| 久久婷婷五月综合色丁香| 亚洲人成77777在线播放网站| 放荡的闷骚娇妻h| 无码AV无码免费一区二区| 狼狼色丁香久久女婷婷综合| 国产国语亲子伦亲子| 国产成人麻豆精品午夜福利在线 | 亚洲国产成人无码影院| 在线亚洲免费精品视频| 少妇人妻中文久久综合| 天天躁日日躁狠狠久久| 自拍偷拍亚洲一区| 丰满人妻一区二区三区免费| av影片在线免费观看| 水蜜桃精品一二三| 国产精品久久码一区二区| 免费av在线视频播放| 欧美亅性猛交内射| 亚洲欧洲日本综合aⅴ在线| 久久久久久一级毛片免费无遮挡| 亚洲综合国产精品一区二区| 亚洲三区在线观看内射后入| 亚洲国产精品久久久久秋霞影院 | 国产精品欧美视频另类专区| 熟妇人妻精品一区二区视频免费的 | 日本啪啪一区二区三区| 亚洲岛国一区二区三区| 久久天天躁狠狠躁夜夜av| 最新国产乱视频伦在线| 久久少妇呻吟视频久久久| 尤物yw午夜国产精品视频| 国产内射999视频一区| 欧美日一本| 国产在线观看午夜视频| 国产亚洲2021成人乱码| 曰韩精品无码一区二区三区 | 国产日产精品久久久久久| 国产色婷亚洲99精品av网站| 亚洲无码在线播放| 日本少妇人妻xxxxx18|