亚洲免费av电影一区二区三区,日韩爱爱视频,51精品视频一区二区三区,91视频爱爱,日韩欧美在线播放视频,中文字幕少妇AV,亚洲电影中文字幕,久久久久亚洲av成人网址,久久综合视频网站,国产在线不卡免费播放

        ?

        GPS偽隨機(jī)碼的軟件和硬件仿真實(shí)現(xiàn)

        2016-10-31 08:45:47陳利軍
        關(guān)鍵詞:觸發(fā)器等價(jià)寄存器

        陳利軍,李 芳,崔 靜

        (軍械工程學(xué)院 信息工程系,石家莊 050003)

        ?

        GPS偽隨機(jī)碼的軟件和硬件仿真實(shí)現(xiàn)

        陳利軍,李芳,崔靜

        (軍械工程學(xué)院 信息工程系,石家莊050003)

        目前四大全球衛(wèi)星導(dǎo)航定位系統(tǒng)中,美國(guó)的GPS是發(fā)展最早也是應(yīng)用面最廣的系統(tǒng),GPS提供民用的標(biāo)準(zhǔn)定位服務(wù)和軍規(guī)的精確定位服務(wù);為研究GPS衛(wèi)星導(dǎo)航電文的產(chǎn)生機(jī)理和針對(duì)GPS的導(dǎo)航對(duì)抗技術(shù),在詳細(xì)介紹GPS偽隨機(jī)碼的基本原理和C/A碼生成電路的基礎(chǔ)上,通過MATLAB和FPGA分別對(duì)C/A碼進(jìn)行了軟件和硬件仿真實(shí)現(xiàn);基于MATLAB的軟件仿真采用循環(huán)程序?qū)崿F(xiàn)了m序列和C/A碼,基于FPGA的硬件仿真首先構(gòu)建一個(gè)D觸發(fā)器元件,然后利用該元件通過并行指令實(shí)現(xiàn)m序列,最終生成C/A碼;兩種方法雖然采用不同的思路和技術(shù),但是得到了一致的C/A碼信號(hào)樣式;偽隨機(jī)碼的產(chǎn)生是GPS實(shí)現(xiàn)擴(kuò)頻通信的重要環(huán)節(jié),通過對(duì)GPS偽隨機(jī)碼的仿真實(shí)現(xiàn),有助于對(duì)GPS衛(wèi)星信號(hào)的捕獲研究和針對(duì)GPS的欺騙式干擾研究。

        GPS;偽隨機(jī)碼;C/A碼;仿真;MATLAB;FPGA

        0 引言

        衛(wèi)星導(dǎo)航系統(tǒng)是一種基于衛(wèi)星的無線電導(dǎo)航系統(tǒng),目前四大全球衛(wèi)星導(dǎo)航定位系統(tǒng)有美國(guó)的GPS系統(tǒng)、歐洲的“伽利略”系統(tǒng)、俄羅斯的“格洛納斯”系統(tǒng)和中國(guó)的“北斗”系統(tǒng),其中GPS是發(fā)展最早、應(yīng)用也最廣的系統(tǒng)。GPS是全球定位系統(tǒng)(global position system)的英文縮寫,其系統(tǒng)組成包含空間部分(24顆衛(wèi)星,截止2010年4月,實(shí)際共有33顆衛(wèi)星)、用戶部分(用戶接收機(jī))和地面監(jiān)控部分(1個(gè)主控站,5個(gè)監(jiān)控站,3個(gè)注入站)。GPS衛(wèi)星導(dǎo)航定位信號(hào)的發(fā)送屬于一種噪聲通訊,它將衛(wèi)星信號(hào)淹沒在噪聲之中,不僅不易被捕獲而且由于發(fā)射功率小,能極大地節(jié)省衛(wèi)星電能[1]。噪聲通信由信息論的奠基人香農(nóng)于20世紀(jì)40年代末期首先提出。香農(nóng)編碼定理指出:只要信息速率Ra小于信道容量C,則總可以找到某種編碼方法,使在碼字相當(dāng)長(zhǎng)的條件下,能夠幾乎無差錯(cuò)地從遭受到高斯白噪聲干擾的信號(hào)中恢復(fù)出原發(fā)送信息。20世紀(jì)60年代中期,隨著偽隨機(jī)噪聲編碼技術(shù)的問世,噪聲通訊才得到真正應(yīng)用。

        1 偽隨機(jī)碼基本原理

        偽隨機(jī)噪聲碼(pseudo-random noise code,偽隨機(jī)碼或偽噪聲碼)是一個(gè)具有一定周期的二進(jìn)制離散符號(hào)串。圖1表示一種極簡(jiǎn)單的偽隨機(jī)碼,它可以有兩種表述形式:二進(jìn)信號(hào)波形,記作x(t)和二進(jìn)符號(hào)序列,記作{x}。二進(jìn)信號(hào)波形取值-1或1,二進(jìn)符號(hào)序列取值1或0。

        圖1 偽隨機(jī)碼的表述形式

        對(duì)二進(jìn)符號(hào)序列作模二和運(yùn)算,二進(jìn)信號(hào)波形作相乘運(yùn)算時(shí)遵循的運(yùn)算規(guī)則如表1所示。

        偽隨機(jī)碼采用一種具有特殊反饋電路的移位寄存器產(chǎn)生。該移位寄存器稱為最長(zhǎng)線性移位寄存器(其反饋電路是線性的),它產(chǎn)生的偽隨機(jī)碼也稱為m序列。圖2所示為一個(gè)四級(jí)m序列發(fā)生器,它包括4個(gè)D型觸發(fā)器(存儲(chǔ)單元)、模二和反饋電路、置1脈沖和時(shí)鐘脈沖產(chǎn)生器。置1脈沖將使各個(gè)D型觸發(fā)器置1,該狀態(tài)作為觸發(fā)器的初始狀態(tài),以后每來一個(gè)時(shí)鐘脈沖,存儲(chǔ)單元的值都順序地右移一個(gè)單元,而最后一個(gè)存儲(chǔ)單元的值作為輸出。在該圖中,D3、D4的狀態(tài)經(jīng)模二和反饋至D1[2-3]。

        表1 兩種表述方式及其運(yùn)算規(guī)則

        圖2 四級(jí)m序列發(fā)生器示意圖

        該m序列的狀態(tài)序列如表2所示。

        表2 四級(jí)m序列發(fā)生器各級(jí)狀態(tài)序列

        當(dāng)上述四級(jí)m序列發(fā)生器進(jìn)入第15個(gè)狀態(tài)時(shí),D3和D4的模二和為1,因此下一個(gè)時(shí)鐘脈沖各級(jí)又會(huì)進(jìn)入初始狀態(tài)1,這樣就會(huì)形成一個(gè)周期性的狀態(tài)序列。

        m序列發(fā)生器的反饋邏輯通常采用特征多項(xiàng)式來表示,即:

        (1)

        式(1)中,Ci表示第i級(jí)移位寄存器反饋線。當(dāng)Ci=0表示反饋線不存在,Ci=1表示反饋線存在。其中C0始終取1,表示總反饋線存在,若C0=0則表示無反饋,無反饋無法產(chǎn)生m序列。依據(jù)式(1),圖2所示電路的特征多項(xiàng)式為:

        (2)

        根據(jù)表2可見,m序列具有以下特性:

        1)n級(jí)移位寄存器所產(chǎn)生的m序列的長(zhǎng)度周期為L(zhǎng)P=2n-1,如圖2所示,長(zhǎng)度周期LP=24-1=15 bit。

        2)在m序列的一個(gè)周期中,輸出的“1”的個(gè)數(shù)比“0”的個(gè)數(shù)多1,如表2中D4的輸出序列111100010011010,含8個(gè)1,7個(gè)0。

        3)一個(gè)周期的m序列等價(jià)平移后與原m序列進(jìn)行模二和,其結(jié)果是一個(gè)結(jié)構(gòu)不變的等價(jià)平移m序列。

        如將表2中D4的輸出序列前移1個(gè)時(shí)鐘周期,得到等價(jià)平移序列與原m序列進(jìn)行模二和運(yùn)算,其結(jié)果是一個(gè)結(jié)構(gòu)不變的等價(jià)平移序列,如圖3所示。

        圖3 由m序列得到結(jié)構(gòu)不變的等價(jià)平移序列

        4)m序列具有良好的相關(guān)性。設(shè)x1(t)和x2(t)為同周期T的序列,兩者之間的互相關(guān)系數(shù)(表示x1(t)和x2(t)之間的“相似”程度)定義為:

        (3)

        其中:τ為x2(t)相對(duì)于x1(t)的時(shí)間延遲。當(dāng)x1(t)=x2(t)時(shí),ρ(τ)就是自相關(guān)系數(shù)。對(duì)于時(shí)元tk離散采樣的自相關(guān)系數(shù)為:

        (4)

        按二進(jìn)信號(hào)波形乘法運(yùn)算規(guī)則,m序列的自相關(guān)系數(shù)為:

        (5)

        圖4 m序列自相關(guān)系數(shù)波形圖

        通過m序列自相關(guān)系數(shù)波形圖可以看到,如果兩序列之間的時(shí)延不為長(zhǎng)度周期的整數(shù)倍,則相關(guān)系數(shù)總小于1,反之則等于1。GPS信號(hào)接收機(jī)正是利用了這一原理來捕獲、識(shí)別不同衛(wèi)星的信號(hào)[5]。

        2 GPS衛(wèi)星的偽隨機(jī)碼

        GPS衛(wèi)星發(fā)射的導(dǎo)航定位信號(hào)包括兩個(gè)載波,兩個(gè)偽隨機(jī)碼(C/A碼和P碼,C/A碼民用,P碼軍用)和一個(gè)D碼,這里只對(duì)C/A碼進(jìn)行介紹。

        C/A碼屬于一種復(fù)合碼被稱為Gold碼,由美國(guó)學(xué)者R.Gold提出,它由兩個(gè)周期和速率相同而碼元結(jié)構(gòu)不同的m序列G1(t)和G2(t)組合而成。C/A碼發(fā)生器示意圖如圖5所示。

        圖5 C/A碼發(fā)生器示意圖

        兩個(gè)m序列G1(t)和G2(t)的特征多項(xiàng)式分別為:

        (6)

        (7)

        G1(t)和G2(t)兩個(gè)m序列由于采用10級(jí)移位寄存器,所以均有1023個(gè)碼元。G1(t)采用移位寄存器的末級(jí)輸出。G2(t)選擇其中兩級(jí)作模二和運(yùn)算后輸出,如圖5所示選擇了第2、第6級(jí)作模二和運(yùn)算。G2(t)移位寄存器的每一級(jí)輸出相互都是結(jié)構(gòu)不變的等價(jià)平移m序列,兩個(gè)結(jié)構(gòu)不變的等價(jià)平移m序列進(jìn)行模二和運(yùn)算,其結(jié)果還是一個(gè)結(jié)構(gòu)不變的等價(jià)平移m序列。選取中間不同的兩級(jí)寄存器進(jìn)行模二和運(yùn)算,其平移量存在不同。因此G2(t)選取不同的兩級(jí)抽頭作模二和運(yùn)算,最終會(huì)產(chǎn)生不同GPS衛(wèi)星各自的C/A碼[6]。

        3 基于MATLAB的C/A碼仿真實(shí)現(xiàn)

        本文采用MATLAB仿真模擬G1(t)、G2(t)和C/A碼的產(chǎn)生。由于不同GPS衛(wèi)星的G2(t)選取不同的兩級(jí)抽頭作模二和運(yùn)算,因此各顆衛(wèi)星的G2(t)輸出相對(duì)于移位寄存器末級(jí)輸出的平移量(時(shí)延)是不同的。本文采用1×37的矩陣來構(gòu)建不同衛(wèi)星G2(t)的輸出平移量。仿真代碼如下所示:

        %構(gòu)建37顆GPS衛(wèi)星的G2(t) 輸出平移量矩陣

        g2_delay = [5,6,7,8,17,18,139,140,…948,950];

        %構(gòu)建G1(t)

        Reg1 = -1*ones(1,10);

        for i = 1:1023,

        % G1(t)采用移位寄存器的末級(jí)輸出

        g1(i)= Reg1(10);

        % 第3、第10級(jí)寄存器的輸出模二

        feedback1 = Reg1(3)* Reg1(10);

        % 寄存器逐級(jí)右移

        Reg1(2:10)= Reg1(1:9);

        % 第3、第10級(jí)寄存器的輸出模二和后反饋到第1級(jí)寄存器

        Reg1(1)= feedback1;

        end

        %構(gòu)建G2(t)

        Reg2 = -1*ones(1,10);

        for i=1:1023,

        g2(i)= Reg2 (10);

        feedback2= Reg2 (2)* Reg2 (3)* Reg2 (6)* Reg2 (8)* Reg2 (9)* Reg2 (10);

        Reg2 (2:10)= Reg2 (1:9);

        Reg2 (1) = feedback2;

        End

        %輸入衛(wèi)星編號(hào)

        num_sta = input(‘請(qǐng)輸入衛(wèi)星編號(hào)’);

        %獲得平移量

        g2_timeslice = g2_delay(1,num_sta);

        %根據(jù)平移量得到G2(t)最終輸出

        g2_shift(1: g2_timeslice)=g2(1023- g2_timeslice +1:1023);

        g2_ shift (g2_timeslice +1:1023)=g2(1:1023- g2_timeslice);

        g2= g2_ shift

        % G1(t)與 G2(t)模二和得到C/A碼

        ca = g1.*g2;

        在得到C/A碼的基礎(chǔ)上,通過將二進(jìn)信號(hào)波形轉(zhuǎn)換為二進(jìn)符號(hào)序列并繪制二維圖形,可得到對(duì)應(yīng)GPS衛(wèi)星在一個(gè)周期內(nèi)的C/A碼,如圖6所示。

        圖6 C/A碼的二進(jìn)符號(hào)序列

        4 基于FPGA的C/A碼仿真實(shí)現(xiàn)

        本文采用Xilinx公司的SPARTAN6系列芯片XC6SLX45,在Xilinx ISE Design Suite 12.2平臺(tái)上利用VHDL語言首先構(gòu)建初始狀態(tài)為“1”的D觸發(fā)器元件,并以該元件為基礎(chǔ)構(gòu)建G1(t)、G2(t)和C/A碼生成電路。對(duì)于G2(t)信號(hào),選擇第2級(jí)、第6級(jí)寄存器輸出產(chǎn)生。C/A碼生成器代碼如下所示。

        --D觸發(fā)器元件生成程序

        entity D_CODE is

        port(d,clk: in std_logic;q:out std_logic);

        end D_CODE;

        architecture Behavioral of D_CODE is

        begin

        process(clk)

        variable i : std_logic:='0';

        begin

        if(clk'event and clk = '1' ) then

        case i is

        --當(dāng)i初值為0,觸發(fā)器第一拍輸出1

        when '0'=> q<='1';i:='1';

        --第一拍之后觸發(fā)器的輸出由輸入決定

        when others=> q<=d; end case;

        end if;

        end process;

        end Behavioral;

        --G1(t)、G2(t)和C/A碼生成程序

        entity D_GPS_CODE is

        port(clk:in std_logic;g1:inout std_logic;a1:inout std_logic;g2:inout std_logic;a2:inout std_logic;ca:out std_logic);

        end D_GPS_CODE;

        architecture Behavioral of D_GPS_CODE is

        component D_CODE

        port(d,clk: in std_logic;q:out std_logic);

        end component;

        signal q1:std_logic_vector(0 to 10);

        signal q2:std_logic_vector(0 to 10);

        begin

        a1<= q1(3) xor q1(10);

        q1(0)<=a1;

        --調(diào)用D觸發(fā)器元件

        dff1_1: D_CODE port map(q1(0),clk,q1(1));

        dff1_2: D_CODE port map(q1(1),clk,q1(2));

        dff1_3: D_CODE port map(q1(2),clk,q1(3));

        dff1_4: D_CODE port map(q1(3),clk,q1(4));

        dff1_5: D_CODE port map(q1(4),clk,q1(5));

        dff1_6: D_CODE port map(q1(5),clk,q1(6));

        dff1_7: D_CODE port map(q1(6),clk,q1(7));

        dff1_8: D_CODE port map(q1(7),clk,q1(8));

        dff1_9: D_CODE port map(q1(8),clk,q1(9));

        dff1_10: D_CODE port map(q1(9),clk,q1(10));

        ---G1(t)信號(hào)

        g1<=q1(10);

        a2<= q2(2)xor q2(3)xor q2(6)xor q2(8)xor q2(9) xor q2(10);

        q2(0)<=a2;

        --調(diào)用D觸發(fā)器元件

        dff2_1: D_CODE port map(q2(0),clk,q2(1));

        dff2_2: D_CODE port map(q2(1),clk,q2(2));

        dff2_3: D_CODE port map(q2(2),clk,q2(3));

        dff2_4: D_CODE port map(q2(3),clk,q2(4));

        dff2_5: D_CODE port map(q2(4),clk,q2(5));

        dff2_6: D_CODE port map(q2(5),clk,q2(6));

        dff2_7: D_CODE port map(q2(6),clk,q2(7));

        dff2_8: D_CODE port map(q2(7),clk,q2(8));

        dff2_9: D_CODE port map(q2(8),clk,q2(9));

        dff2_10: D_CODE port map(q2(9),clk,q2(10));

        ---G2(t)信號(hào)

        g2<=q2(2) xor q2(6);

        ---C/A碼

        ca <= g1 xor g2;

        end Behavioral;

        在該程序的基礎(chǔ)上分配好輸入輸出管腳后可下載實(shí)現(xiàn)C/A碼硬件生成器。本文利用ISE自帶的仿真器Isim,添加激勵(lì)源后生成的C/A碼仿真結(jié)果如圖7所示。

        圖7 FPGA上C/A碼生成器仿真結(jié)果

        5 結(jié)束語

        GPS衛(wèi)星發(fā)送的D碼即數(shù)據(jù)碼,其速率較低,為50 bit/s,采用寬帶的C/A碼對(duì)其進(jìn)行調(diào)制后實(shí)現(xiàn)D碼擴(kuò)頻,而增大頻寬,信號(hào)的信噪比會(huì)降低,因此GPS衛(wèi)星信號(hào)幾乎淹沒在各種電磁噪聲之中,要想捕獲、識(shí)別乃至跟蹤它都非常困難。通過以上仿真手段較好地模擬出GPS的C/A碼形成過程和信號(hào)樣式,有助于對(duì)GPS工作原理、衛(wèi)星信號(hào)捕獲和欺騙式干擾技術(shù)的研究[7]。

        [1] 雷武虎. 衛(wèi)星導(dǎo)航定位原理與干擾[M].北京:解放軍出版社,2010.

        [2] 徐紹銓,張華海.GPS測(cè)量原理及應(yīng)用[M].武漢:武漢大學(xué)出版社,2008.

        [3] Kaplan E D,Hegarty C J.GPS原理與應(yīng)用[M].2版.寇艷紅,譯.北京:電子工業(yè)出版社,2007.

        [4] 李明峰,馮寶紅.GPS定位技術(shù)及其應(yīng)用[M].北京:國(guó)防工業(yè)出版社,2006.

        [5] 李亞峰,王新龍.一種GPS軟件接收機(jī)信號(hào)快速捕獲算法研究[J].航空兵器,2013,1:20-26.

        [6] 陳科,王仕成.弱信號(hào)條件下GPS導(dǎo)航技術(shù)綜述[J].第二炮兵工程學(xué)院學(xué)報(bào),2012,26 (3):90-95.

        [7] 葛含益,李偉.武器裝備論證仿真模型規(guī)范體系總體設(shè)計(jì)研究[J] .軍事運(yùn)籌與系統(tǒng)工程,2008,22(3):50-55.

        Implementation of Software Simulation and Hardware Simulation for GPS’ Pseudo-random Noise Code

        Chen Lijun,Li Fang,Cui Jing

        (Department of Information Engineering,Ordnance Engineering College,Shijiazhuang050003,China)

        At present,in the four global satellite navigation and positioning systems,American GPS is the earliest and widely used system. GPS provides Standard Positioning Service (SPS) and Precise Positioning Service (PPS). For studying the navigation data of GPS and the Counter Technology to GPS,this paper introduces the basal theory of GPS’ Pseudo-random Noise Code and the circuit which produces the C/A code. Then it emulates the C/A code of GPS by MATLAB and FPGA. The software simulation by MATLAB uses the circular sentences to implement the m-sequence and the C/A code. The hardware simulation by FPGA produces a D-Trigger first,then it uses the D-Trigger and parallel sentences to implement the m-sequence and the C/A code. Although the two methods use different thinking and technologies,they get the same C/A code. GPS’ Pseudo-random Noise Code is the important segment to spread spectrum communication. This study to GPS’ Pseudo-random Noise Code will contribute to the research on capturing GPS signal and deception jamming to GPS.

        GPS; pseudo-random noise code;C/A code; simulate;MATLAB;FPGA

        1671-4598(2016)04-0226-04DOI:10.16526/j.cnki.11-4762/tp.2016.04.065

        TP391.9

        A

        2015-10-27;

        2015-11-21。

        陳利軍(1973-),男,四川邛崍人,碩士,主要從事計(jì)算機(jī)系統(tǒng)結(jié)構(gòu)、嵌入式系統(tǒng)應(yīng)用方向的研究。

        猜你喜歡
        觸發(fā)器等價(jià)寄存器
        Lite寄存器模型的設(shè)計(jì)與實(shí)現(xiàn)
        n次自然數(shù)冪和的一個(gè)等價(jià)無窮大
        中文信息(2017年12期)2018-01-27 08:22:58
        主從JK觸發(fā)器邏輯功能分析
        電子世界(2017年22期)2017-12-02 03:03:45
        分簇結(jié)構(gòu)向量寄存器分配策略研究*
        使用觸發(fā)器,強(qiáng)化安全性
        收斂的非線性迭代數(shù)列xn+1=g(xn)的等價(jià)數(shù)列
        環(huán)Fpm+uFpm+…+uk-1Fpm上常循環(huán)碼的等價(jià)性
        關(guān)于環(huán)Fpm+uFpm上常循環(huán)碼的等價(jià)性
        對(duì)觸發(fā)器邏輯功能轉(zhuǎn)換的分析
        觸發(fā)器邏輯功能轉(zhuǎn)換的兩種方法
        久久久亚洲精品蜜臀av| 欧美aa大片免费观看视频| 色吧综合网| 日产精品一区二区免费| 久亚洲精品不子伦一区| 日产精品久久久一区二区| 久久久久国产精品免费免费搜索| 无码人妻丝袜在线视频| 亚洲乱熟妇一区二区三区蜜桃| 每日更新在线观看av| 天天爽夜夜爽夜夜爽| 丝袜欧美视频首页在线| 中文字幕一区二区三区6| 最新国产精品拍自在线观看| 国产熟女露脸大叫高潮| 日韩五十路| 久久精品伊人久久精品伊人| 五十六十日本老熟妇乱| 中文无码制服丝袜人妻av| 免费大学生国产在线观看p| 日韩一区二区三区熟女| 亚洲av日韩aⅴ无码色老头| 久久中文字幕av一区二区不卡| 亚洲国产精品国自产拍av在线| 免费在线观看av不卡网站| a级毛片免费观看在线| 中文字幕在线观看国产双飞高清 | 国产成人精品无码免费看| 人妻丝袜无码国产一区| 亚洲av人妖一区二区三区| 亚洲捆绑女优一区二区三区| 亚洲欧美色一区二区三区| 亚洲V日韩V精品v无码专区小说 | 青青草视频在线观看9| 亚洲a∨无码精品色午夜| 精品无码专区久久久水蜜桃 | 日本a一区二区三区在线| 国产自拍av在线观看视频| 国产精品久久久| 最新国产美女一区二区三区| 午夜国产精品视频在线观看|